Мультиплексоры и демультиплексоры презентация. Применение и принцип работы мультиплексора и демультиплексора

16.12.2023

Мультиплексор – устройство, обеспечивающее соединение одного из информационных входов с единственным выходом. Входы мультиплексора делятся на две группы: информационные и адресую­щие. Номер информационного входа, который соединяется с выходом, задается в двоичном коде на адресных входах. Если мультиплексор имеет n адресных входов, то в нем может быть 2 n информационных входов.

Демультиплексор – устройство, обеспечивающее соединение одного из информационных выходов с единственным информационным входом. Номер информационного выхода, который соединяется со входом, задается в двоичном коде на адресных входах. Если демультиплексор имеет n адресных входов, то в нем может быть 2 n информационных выходов.

Функциональная схема демультиплексора, имеющего четыре выхода, приведена на рисунке 1.35,а, а его условное обозначение на принципиальных схемах – на рисунке 1.35,б.

Функциональная схема мультиплексора, имеющего четыре входа, приведена на рисунке 1.35,в, а его условное обозначение на принципиальных схемах – на рисунке 1.35,г. Мультиплексоры могут снабжаться дополнительным входом – входом разрешения передачи информации с входов на выход.

Для пояснения принципа работы мультиплексора посмотрим на таблицу истинности:

A1 A0 Q
D0
D1
D2
D3

Работа мультиплексора описывается соотношением, которое иногда называется мультиплексной формулой. При любом значении адресующего кода все слагаемые, кроме одного, равны нулю. Ненулевое слагаемое равно D i , где i - значение текущего адресного кода. Логическая функция, описывающая работу мультиплексора:

Мультиплексоры могут применяться в делителях частоты, триггерных устройствах, сдвигающих устройствах и др. Мультиплексоры часто используют для преобразования параллельного двоичного кода в последовательный. Для такого преобразования достаточно подать на информационные входы мультиплексора параллельный двоичный код, а сигналы на адресные входы подавать в такой последовательности, чтобы к выходу поочередно подключались входы, начиная с первого и заканчивая последним.

Функции демультиплексоров сходны с функциями дешифраторов. Дешифратор можно рассматривать как демультиплексор, у которого информационный вход поддерживает напряжение выходов в активном состоянии, а адресные входы выполняют роль входов дешифратора. Поэтому в обозначении как дешифраторов, так и демультиплексоров в отечественных микросхемах используются одинаковые буквы - ИД.

Увеличение разрядности мультиплексоров при большом числе входных линий выполняют с помощью каскадно-пирамидального соединения мультиплексоров с меньшим числом входов (строят мультиплексорное дерево). Например, двухкаскадный мультиплексор 16:1 можно построить с использованием пяти мультиплексоров 4:1. Первый каскад из четырех мультиплексоров коммутирует 16 входов на 4 выхода, из которых во втором каскаде выбирается единственный. При этом усложняется схема управления.

Эти устройства являются комбинационными .


Шифраторы и дешифраторы

Эти устройства являются комбинационными .

Устройства, преобразующие одну разновидность кода в другую, называются преобразователями кодов . Например, существуют устройства, преобразующие прямой двоичный код в обратный и дополнительный коды. К преобразователям также относятся шифраторы и дешифраторы, осуществляющие кодирование и декодирование сигналов.

Двоичные дешифраторы преобразуют двоичный код в код «1 из N». В кодовой комбинации этого кода только одна позиция занята единицей, а все остальные – нулевые.

Двоичный дешифратор, имеющий n входов, должен иметь 2 n выходов, соответствующих числу разных комбинаций в n-разрядном двоичном коде. Если часть входных наборов не используется, то дешифратор называют неполным, и у него число выходов меньше 2 n .

В условном обозначении дешифраторов проставляются буквы DC (от английского Decoder). Входы дешифратора принято обозначать их двоичными весами. Кроме информационных входов дешифратор обычно имеет один или более входов разрешения работы обозначаемых как EN (Enable). При наличии разрешения по этому входу дешифратор работает описанным выше образом, при его отсутствии все выходы дешифратора пассивны. Если входов разрешения несколько, то сигнал разрешения работы образуется как конъюнкция сигналов отдельных входов.

Дешифратор (декодер) – преобразует код, поступающий на его входы, в сигнал только на одном из его выходов. Дешифратор n-разряд-ного двоичного числа имеет 2 n выходов. Функциональная схема дешифратора на 16 выходов приведена на рисунке 1.34,а. По такой функциональной схеме построена микросхема К155ИД3. Условное обозначение этой микросхемы на принципиальных схемах приведено на рисунке 1.34,б. Для преобразования сигнала необходимо на входы V1 и V2 микросхемы подать сигналы логических нулей.

Пусть на входе дешифратора присутствует двоичное число 1111. В этом случае на всех пяти входах элемента DD1.15 будут сигналы логических единиц, а на выходе этого элемента будет логический нуль. На выходах всех остальных 15 элементов будут сигналы логических единиц. Если хотя бы на одном из входов V логическая единица, то единицы будут на всех 16 выходах.

Система логических функций, показывающая работу дешифратора:

где Z n – выходы дешифратора

Х і – входы дешифратора

Шифратор (кодер) – устройство, представляющее собой преобразователь позиционного кода в двоичный (десятичного в двоичный).

Шифратор (кодер) преобразует сигнал на одном из входов в n-разрядное двоичное число. Функциональная схема шифратора, преобразующего десятичные цифры в 4-разрядное двоичное число, приведена на рисунке 1.33,а, а его условное обозначение – на рисунке 1.33,б. При появлении сигнала логической единицы на одном из десяти входов на четырех выходах шифратора будет присутствовать соответствующее двоичное число. Пусть сигнал логической единицы подан на вход 7. Тогда на выходах логических элементов DD1.1, DD1.2, DD1.3 будут сигналы логических единиц, а на выходе элемента DD1.4 – сигнал логического нуля. Таким образом, на выходах 8, 4, 2, 1 шифратора мы получим двоичное число 0111.

3.7. Мультиплексоры и демультиплексоры

Мультиплексор - это устройство, которое осуществляет выборку одного из нескольких входов и подключает его к своему единственному выходу, в зависимости от состояния двоичного кода. Другими словами, мультиплексор - переключатель сигналов, управляемый двоичным кодом и имеющий несколько входов и один выход. К выходу подключается тот вход, чей номер соответствует управляющему двоичному коду.

Ну и частное определение: мультиплексор - это устройство, преобразующее параллельный код в последовательный.

Структуру мультиплексора можно представить различными схемами, например, вот этой:

Рис. 1 – Пример схемы конкретного мультиплексора

Самый большой элемент здесь это элемент И-ИЛИ на четыре входа. Квадратики с единичками - инверторы.

Разберем выводы. Те, что слева, а именно D0-D3, называются информационными входами. На них подают информацию, которую предстоит выбрать. Входы А0-А1 называются адресными входами. Сюда и подается двоичный код, от которого зависит, какой из входов D0-D3 будет подключен к выходу, на этой схеме обозначенному как Y . Вход С – синхронизация, разрешение работы.

На схеме еще есть входы адреса с инверсией. Это чтобы сделать устройство более универсальным.

На рисунке показан, как еще его называют, 4Х1 мультиплексор. Как мы знаем, что число разных двоичных чисел, которые может задавать код, определяется числом разрядов кода как 2 n , где n – число разрядов. Задавать нужно 4 состояния мультиплексора, а, значит, разрядов в коде адреса должно быть 2 (2 2 = 4).

Для пояснения принципа работы этой схемы посмотрим на её таблицу истинности:

Так двоичный код выбирает нужный вход. Например, имеем четыре объекта, и они подают сигналы, а устройство отображения у нас одно. Берем мультиплексор. В зависимости от двоичного кода к устройству отображения подключается сигнал от нужного объекта.

Микросхемой мультиплексор обозначается так:

Рис. 2 – Мультиплексор как МКС

Демультиплексор - устройство, обратное мультиплексору. Т. е., у демультиплексора один вход и много выходов. Двоичный код определяет, какой выход будет подключен ко входу.

Другими словами, демультиплексор - это устройство, которое осуществляет выборку одного из нескольких своих выходов и подключает его к своему входу или, ещё, это переключатель сигналов, управляемый двоичным кодом и имеющий один вход и несколько выходов.

Ко входу подключается тот выход, чей номер соответствует состоянию двоичного кода. И частное определение: демультиплексор - это устройство, которое преобразует последовательный код в параллельный.

Обычно в качестве демультиплексора используют дешифраторы двоичного кода в позиционный, в которых вводят дополнительный вход стробирования.

Из-за сходства схем мультиплексора и демультиплексора в КМОП сериях есть микросхемы, которые одновременно являются мультиплексором и демультиплексором, смотря с какой стороны подавать сигналы.

Например, К561КП1, работающая как переключатель 8х1 и переключатель 1х8 (то есть, как мультиплексор и демультиплексор с восемью входами или выходами). Кроме того, в КМОП микросхемах помимо переключения цифровых сигналов (логических 0 или 1) существует возможность переключения аналоговых.

Другими словами, это переключатель аналоговых сигналов, управляемый цифровым кодом. Такие микросхемы называются коммутаторами. К примеру, с помощью коммутатора можно переключать сигналы, поступающие на вход усилителя (селектор входов). Рассмотрим схему селектора входов УМЗЧ . Построим её с использованием триггеров и мультиплексора.

Рис. 3 - Селектор входных сигналов

Итак, разберем работу. На триггерах микросхемы DD1 собран кольцевой счетчик нажатий кнопки разрядностью 2 (два триггера - 2 разряда). Двухразрядный двоичный код поступает на адресные входы D0-D1 микросхемы DD2. Микросхема DD2 представляет собой сдвоенный четырехканальный коммутатор.

В соответствии с двоичным кодом к выходам микросхемы А и В подключаются входы А0-А3 и В0-В3 соответственно. Элементы R1, R2, C1 устраняют дребезг контактов кнопки.

Дифференцирующая цепь R3C2 устанавливает триггеры в нулевое состояние при включении питания, при этом к выходу подключается первый вход. При нажатии на кнопку триггер DD1.1 переключается в состояние лог. 1 и к выходу подключается второй вход и т. д. Перебор входов идет по кольцу, начиная с первого.

С одной стороны просто, с другой немного неудобно. Кто его знает, сколько раз нажали на кнопку после включения и какой вход подключен к выходу сейчас. Хорошо бы поставить индикатор подключенного входа.

Вспоминаем семисегментный дешифратор. Переносим дешифратор с индикатором на схему коммутатора и первые два входа дешифратора (на схеме обозначен как DD3), т. е. 1 и 2 (выводы 7 и 1) подключаем к прямым выходам триггеров DD1.1 DD1.2 (выводы 1 и 13). Входы дешифратора 4 и 8 (выводы 2 и 6) соединяем с корпусом (т. е. подаем лог. 0). Индикатор будет показывать состояние кольцевого счетчика, а именно цифры от 0 до 3. Цифра 0 соответствует первому входу, 1 - 2-му и т. д.

Демультиплексоры

Демультиплексор - схема, выполняющая функцию, обратную функции мультиплексора, т.е. это комбинационная схема, имеющая один информационный вход (Д), n информационных выходов (у0, у1, …, уn-1) и k управляющих (адресных) входов (А0, А1, …, Аk-1). Обычно, также как и мультиплексоров, 2k= n. Двоичный код, поступающий на адресные входы, определяет один из n выходов, на который передается значение переменной с информационного входа (Д), т.е. демультиплексор реализует следующие функции:

Таблица функционирования демультиплексора, имеющего n = 4 информационных выходов (у0, у1, у2, у3) и k = 2 адресных входов (А0, А1), представлена в табл. 1.2.

Таблица 1.2

Уравнения, описывающие работу демультиплексора:

Схема демультиплексора, построенная по данным уравнениям и его графическое изображение представлены на рис. 1.3.


Рис. 1.3 - Схема демультиплексора "1- 4" (а) и его условное изображение (б)

Функция демультиплексора легко реализуется с помощью дешифратора, если его вход “Разрешение” (Е) использовать в качестве информационного входа демультиплексора, а входы 1, 2, 4 … - в качестве адресных входов демультиплексораА0, А1, А2, … Действительно, при активном значении сигнала на входе Е избирается выход, соответствующий коду, поданному на адресные входы. Поэтому ИС дешифраторов, имеющих разрешающий вход, иногда называют не просто дешифраторами, а дешифраторами-демультиплексорами (например, К155ИД4, К531ИД7 и др.).

Применение мультиплексоров и демультиплексоров

Термином “мультиплексирование” называют процесс передачи данных от нескольких источников по общему каналу, а устройство, осуществляющее на передающей стороне операцию сведения данных в один канал, принято называть мультиплексором. Подобное устройство способно осуществлять временное разделение сигналов, поступающих от нескольких источников, и передавать их в канал (линию) связи друг за другом в соответствии со сменой кодов на своих адресных входах.

На приемной стороне обычно требуется выполнить обратную операцию - демультиплексирование, т.е. распределение порций данных, поступивших по каналу связи в последовательные моменты времени, по своим приемникам. Эту операцию выполняет демультиплексор. Совместное использование мультиплексора и демультиплексора для передачи данных от n источников к n приемникам по общей линии иллюстрирует рис. 1.4. (В общем случае число источников данных не равно числу приемников).


Рис. 1.4

Если в схеме (рис. 1.4) n различных источников и приемников заменить n-разрядными источником и приемником, например, регистрами RGист. и RGпр. (изображены пунктирными линиями), то схема может быть использована для преобразования n-разрядного параллельного кода на передающей стороне в последовательный код (с помощью мультиплексора) и последовательного кода в параллельный на приемной стороне (с помощью демультиплексора).

При подобном применении мультиплексора и демультиплексора в качестве их адресных кодов используются выходные сигналы двоичного счетчика, последовательно формирующего на своих выходах двоичные коды чисел от 0 до n-1.

Мультиплексор можно использовать в качестве универсального логического элемента для реализации любой логической функции от числа аргументов, равного числу адресных входов мультиплексора. Покажем это на примере логической функции, заданной своей таблицей истинности (табл. 1.3).

Выбираем мультиплексор, имеющий три адресных (по числу аргументов функции) и восемь информационных входов.


Рис. 1.5

Для реализации заданной функции информационные входы мультиплексора соединим с уровнями логических “1” и “0” в такой последовательности, которая полностью копирует последовательность единиц и нулей функции в таблице истинности (рис. 1.5). При этом не требуется ни записи СДНФ, ни ее минимизации. Кстати, функция, заданная табл. 1.3 (четность числа единиц в трехразрядном слове), не упрощается, поэтому для своей реализации, например, в базисе ЛЭ “И-НЕ” требует четырех ЛЭ “3И-НЕ” и трех инверторов, т.е. в сумме потребуется три ИС. В то же время для реализации схемы по рис. 1.5 требуется всего одна ИС мультиплексора “8-1”. По этой причине, способ реализации функций трех или большего числа аргументов с помощью ИС мультиплексоров весьма популярен у разработчиков.